PSoCデビューした話

会社のPSoCおじさん'sがニコニコしながら勧めてくるので、「ちょっとだけ…」と足を踏み出したら面白くて沼にはまりそうになっている話。
業界ではよく見る光景ですね。

1.PSoC Creatorを入れる。

私は4.0を入れました。
DLはここから。普通にインストールをする。
ユーザ登録が必要だよ。
http://japan.cypress.com/products/psoc-creator-integrated-design-environment-ide/japan.cypress.com

2.評価ボードをPCに刺す

f:id:matchqueen:20170317121147j:plain

私は秋月で PSoC 5LP Prototyping Kit を買いました。
akizukidenshi.com

ところで秋月さんはいつまで商品名を全角英数で表現するのでしょうか。
そろそろ半角になっても良いのでは?と常日頃考えております。

3.Lチカ

さあ!何も考えずにLEDを光らせるんだ!

  • ここから評価ボードの回路図を落としてきます。

http://japan.cypress.com/documentation/development-kitsboards/cy8ckit-059-psoc-5lp-prototyping-kit-onboard-programmer-andjapan.cypress.com

f:id:matchqueen:20170317120829j:plain

  • 回路図を開き、PSoCの型番を確認します。

f:id:matchqueen:20170317122456j:plain

  • インストールしたPSoC Creatorを開き、Create New Project を選択します。

f:id:matchqueen:20170317121912j:plain

  • 型番を選択。

f:id:matchqueen:20170317123335p:plain

f:id:matchqueen:20170317123452p:plain

  • 適当な名前で保存。

f:id:matchqueen:20170317123813p:plain

  • できたー

f:id:matchqueen:20170317123942p:plain


  • ピンを図面に書きます。

右にあるタブから、Ports and Pins にある Digital Output Pin[v2.20] を選択して、図面の中にドラックしてくる。
f:id:matchqueen:20170317124234j:plain

こんな感じになる。
f:id:matchqueen:20170317124514p:plain

次にCLKを同じように追加する。System にある Clock[v2.0]
f:id:matchqueen:20170317124829j:plain

この二つを線で結ぶ。キーボードの W を押すとワイヤーが出てくるよ。
f:id:matchqueen:20170317124959j:plain

  • Clockピンをダブルクリックして、クロックを入力する。

Source を ILO(1kHz) にする。
Specify を Frequency 1Hz くらいにする。
f:id:matchqueen:20170317130346p:plain
指定したクロックで光るよ。
Source を auto にしておくとワーニングが出るので、ILO(1kHz)とかにしておけば。どんなワーニングかは自分で確認してな。

  • 左にあるタブの、Design Wide Resourcesをダブルクリックする。

f:id:matchqueen:20170317125204j:plain

こんな画面が出てくる。
f:id:matchqueen:20170317125406p:plain

  • 回路図から、どこのピンにLEDが繋がっているか確認する。

f:id:matchqueen:20170317121503j:plain
なるほど、P2_1だな!

  • P2_1 に Pin_1 をドラックしてくる。

f:id:matchqueen:20170317130135j:plain
プルダウンから選んでもいいみたい。

  • Build してエラーが出なかったら、ボードに書き込む。

f:id:matchqueen:20170317130909p:plain
Buildはこれをクリックしてもできるよ。

f:id:matchqueen:20170317131009p:plain
ボードに書き込むのはこれ。

  • ひかったー!点滅するよー!!

f:id:matchqueen:20170317131854j:plain


4.CでLチカ

Cでソースコード書いて光らせることもできるよ。
図面からクロックとワイヤーを消してPin_1だけにする。
f:id:matchqueen:20170317145918p:plain

Pin_1をダブルクリック。HW connection のチェックを外す。
f:id:matchqueen:20170317150209p:plain

ピンの外観が変わる。
f:id:matchqueen:20170317145954p:plain

右側の Source Files から main.c を選んでダブルクリック
f:id:matchqueen:20170317150315p:plain

ここにLEDを光らせるプログラムを書く。
f:id:matchqueen:20170317150428p:plain

まずは簡単に光らせたい。
ということで、100msで点滅するやつ。
f:id:matchqueen:20170317151135p:plain

Pin_1_Write(1);
これでPin_1ピンがHiになる。
0にしたらLowになる。かーんたーん。

CyDelay(100);
これで100msお休み。



次の記事で一番感動したSWの処理について書こうかなって。
元気だったら連休中にどうにか。